Login



Other Articles by Author(s)

A. Pullareddy
G. Sreenivasulu



Author(s) and WSEAS

A. Pullareddy
G. Sreenivasulu


WSEAS Transactions on Electronics


Print ISSN: 1109-9445
E-ISSN: 2415-1513

Volume 9, 2018

Notice: As of 2014 and for the forthcoming years, the publication frequency/periodicity of WSEAS Journals is adapted to the 'continuously updated' model. What this means is that instead of being separated into issues, new papers will be added on a continuous basis, allowing a more regular flow and shorter publication times. The papers will appear in reverse order, therefore the most recent one will be on top.



Design and Implementation of High Speed Sense Amplifier for SRAM

AUTHORS: A. Pullareddy, G. Sreenivasulu

Download as PDF

ABSTRACT: The Sense amplifier’s sense delay is one important parameter to measure the speed of SRAM memory cell. The sense delay depends on the amplifier reaction time. This delay parameter is more vulnerable to device variations, temperature and supply voltage variations. A latch type voltage controlled sense amplifier considered among all the offered current and voltage sense amplifier types for data sensing from the SRAM cell. The modified conventional latch type voltage controlled coupling capacitor based sense amplifier is implemented to improve the performance of the memory cell. The proposed circuit scheme will provide the reasonable negative voltage at the sense amplifier virtual ground, then the driving capability of the pull down (NMOS) transistors is increased, hence it made the sense amplifier faster. The conventional sense amplifier is compared with proposed coupling capacitor sense amplifier. From the experimental results, it is observed that coupling capacitor based sense amplifier circuit scheme will decrease the sense amplifier reaction time and access the data fast. The result shows, the proposed scheme provides the improvement of sense delay reduction of 198ps at SS/-40º C/1.2v and 18ps at FF/127º C/1.2v process corners at the cost of power consumption.

KEYWORDS: Coupling Capacitor, SRAM cell, Offset, Reaction time.

REFERENCES:

[1] Rakesh Oayaramji Chandankhede, et al., “Design of High Speed Sense Amplifier for SRAM”, IEEE International Conference on Advanced Communication Control and Computing Technologies (ICACCCT), 2014, pp.340-343.

[2] T. seki, E. Itoh, C. Furukawa, I. Maeno, T. Ozawa, and H. Sano, “A 6-ns 1-Mb CMOS SRAM with Latched Sense Amplifier”, IEEE Journal of Solid-State Circuits, vol. 28, pp. 478-483, 1993.

[3] Manoj Sinha, et al., “High Performance and Low Voltage Sense Amplifier Techniques for sub 90nm SRAM”, SOC Conference Proceedings. IEEE International, 2003, pp.113-116.

[4] Chow H C and Chang S H, “High Performance Sense Amplifier Circuit for Low Power SRAM Applications”, IEEE ISCAS, 2004, pp.741-744.

[5] Anil Kumar Gundu, Mohammad S. Hashmi and Anuj Grover ,“A New Sense Amplifier Topology with Improved Performance for High Speed SRAM Applications”, 29th International Conference on VLSI Design (VLSID), 2016, pp.185-190.

[6] Taehui Na, S. Woo, J. Kim, H. Jeong, and S. Jung, “Comparative Study of Various Latch-Type Sense Amplifiers”, IEEE Transactions on VLSI Systems, vol. 22, no. 2, pp. 425-429, Feb. 2014.

[7] Y. Tsiatouhas, A. Chrisanthopoulus, G.Kamoulakos, and T. Haniotakis, “New Memory Sense Amplifier Designs in CMOS Technology”, IEEE International Conference in Electronics and Circuits System, Feb. 2000, pp. 19–22.

[8] R. Singh and N. Bhat, “An Offset Compensation Technique for Latch type Sense Amplifiers in High-Speed LowPower SRAMs”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems., vol. 12, no. 6, pp. 652–657,Jun. 2004.

[9] B. Mohammad, P. Dadabhoy, K. Lin, and P. Bassett, “Comparative Study of Current Mode and Voltage Mode Sense Amplifier Used for 28nm SRAM”, 24th International Conference in Microelectronics (ICM), 2012, pp.1-6.

[10] B. Wicht, “Current Sense Amplifiers for Embedded SRAM in High-Performance System-on-a-Chip Designs”, Springer Series in Advanced Microelectronics. Springer, vol. 12, 2003.

[11] Bhupendra sing Reniwal, et al., “Ultra-Fast Current Mode Sense Amplifier for Small ICELL SRAM in FinFET with Improved Offset Tolerance”, International Journal of circuits and syst. signal process,Springer, vol.35,2016.

[12] WA. J. Bhavnagrwala, X. Tang, and J.D. Meindl, “The Impact of Intrinsic Device Fluctuations on CMOS SRAM cell Stability,” IEEE Journal of Solid-State Circuits, vol. 36, no. 4, pp. 658–665, Apr. 2001.

[13] Mahmut E. Sinangilc, et al., “A 28 nm 2 Mbit 6 T SRAM With Highly Configurable Low-Voltage Write-Ability Assist Implementation and Capacitor-Based Sense-Amplifier Input Offset Compensation”, IEEE Journal of SolidState Circuits, vol. 51, Issue:2, pp.1-11, 2015.

[14] W. David, “Sense Amplifier having Reduced Vt Mismatch in Input Matched Differential Pair,” U.S. Patent 6445 216, Sep. 3, 2002.

[15] M. J. M. Pelgrom, A.C. J.Duinmaijer, and A. P. G. Welbers, “Matching Properties of MOS Transistors,” IEEE Journal of SolidState Circuits, vol. 24, no. 5, pp. 1433– 1440, Oct. 1989.

WSEAS Transactions on Electronics, ISSN / E-ISSN: 1109-9445 / 2415-1513, Volume 9, 2018, Art. #14, pp. 112-120


Copyright Β© 2018 Author(s) retain the copyright of this article. This article is published under the terms of the Creative Commons Attribution License 4.0

Bulletin Board

Currently:

The editorial board is accepting papers.


WSEAS Main Site